کد VHDL ضرب کننده 4 بیتی

دسته بندي : فنی و مهندسی » برق، الکترونیک و مخابرات
توضیحات:
کد VHDL ضرب کننده 4 بیتی به همراه فایل تست. این فایل کد VHDL ضرب کننده 4 بیتی با استفاده از جمع کننده های یک بیتی است.

این برنامه کد VHDL یک ضرب کننده است که با استفاده از and و جمع کننده کامل تک بیتی عمل ضرب را میان دو عدد 4 بیتی انجام می دهد و نتیجه 8 بیتی به خروجی داده می شود.
دسته بندی: فنی و مهندسی » برق، الکترونیک و مخابرات

تعداد مشاهده: 36233 مشاهده

فرمت فایل دانلودی:.rar

فرمت فایل اصلی: .vhd

حجم فایل:2 کیلوبایت

 قیمت: 30,000 تومان
پس از پرداخت، لینک دانلود فایل برای شما نشان داده می شود.   پرداخت و دریافت فایل
  • راهنمای استفاده:
    ابتدا پروژه ای در نرم افزار ISE بسازید و سپس فایل های دانلود شده را به آن اضافه کنید.


  • محتوای فایل دانلودی:
    برنامه VHDL جمع کننده تک بیتی
    برنامه VHDL ضرب کننده 4 بیتی
    برنامه تست